compiler-errors - 我的部分产品生成器出了什么问题

我正在尝试为部分产品生成器编写VHDL代码。代码如下:

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_signed.all;
use ieee.numeric_std.all;
entity boothencoder_ppg is
port(Y: in std_logic_vector(53 downto 1);
     X: in std_logic_vector(53 downto 1);
     PPG: out std_logic_vector(53 downto 1)
     );
end boothencoder_ppg;
architecture behavioral of boothencoder_ppg is
signal U, SFT, W, M, A: std_logic;
    begin
    for m in 1 to 53 loop
    U = Y(m+1) xnor Y(m);
    SFT = Y(m-1) xnor Y(m);
    W = U and SFT;
    M = SFT? X(m-1) : X(m);
    A = M xor Y(m+1);
    PPG = A nor W;
    end loop;
end behavioral;

我在循环中的所有行中都出错。也许我没有正确实现循环?任何帮助都会很棒。

谢谢。

最佳答案

VHDL中的信号分配运算符写为:

a <= b;

另外,您需要将loop包装在process中,或者使用for...generate构造而不是作为顺序语句的for...loop

https://stackoverflow.com/questions/13577780/

相关文章:

c# - 编译委托(delegate)和调度程序时出错

compiler-errors - 带有C++/CLI的VS2012中的错误C2039错误

wpf - WPF,将XAML文件移动到另一个项目

compiler-errors - 免费的基本编译器错误23 : File not found, l

qt - Qwt和Boost::signals

compiler-errors - IPv4和IPv6地址的lex模式

android - BadTokenException无法添加窗口(ProgressDialog)

gcc - 如何在 MinGW 中将错误消息控制设置为详细?

compiler-errors - 如何使Groovy Shell读取#符号

sorting - "nonL value in assignment "错误 C++对链表进行排序