vhdl - 如何在 VHDL 中制作一个简单的 4 位奇偶校验器?

我正在尝试学习 VHDL,并且正在尝试制作 4 位奇偶校验器。这个想法是这些位来自一条输入线(每个时钟脉冲一位),检查器应该找出 4 位序列中是否有奇数个 1(即 1011 、 0100 等)并发送错误输出(例如错误标志:错误

谁能给我举个例子,让我研究一下?

我曾尝试在网上搜索,但我发现的所有讨论都与更复杂的事情有关,我无法理解。

最佳答案

VHDL 2008 标准提供了一个新的异或运算符来执行此操作。比 Aaron 提供的传统解决方案简单得多。

signal Data : std_logic_vector(3 downto 0) ;
signal Parity : std_logic ;
. . .
Parity <= xor Data ;

https://stackoverflow.com/questions/8191174/

相关文章:

qt - 如何使用QT从打印机打印图像文件

android - 如何将 OutputStream 转换为文件?

vb.net - 从 ListView 中删除项目

git - 当我用分支推送时,.gitignore 不会忽略文件

assembly - 将二进制转换为十进制并在汇编中显示

visual-studio - VS2010 中缺少“属性页”选项卡

c# - 如何从后面的 C# 代码更新 WPF 绑定(bind)的值?

sql - 使用 SQL 脚本创建 SQL Server 数据库

php - 我可以将匿名函数放在 PHP 的数组中吗?

.htaccess - Htaccess URL Rewrite 捕获 2 或 5 个字符(但不是